taelo_bg

lihlahisoa

Setoko se secha sa mantlha Setho se le seng sa elektroniki Lethathamo la litšebeletso tse kopaneng tsa potoloho ea IC chip XC7S6-L1CSGA225I

tlhaloso e khuts'oane:


Lintlha tsa Sehlahisoa

Li-tag tsa Sehlahisoa

Litšobotsi tsa Sehlahisoa

MOFUTA TLHALOSO
Sehlopha Lipotoloho tse Kopantsoeng (ICs)E kenyelelitsoe

FPGAs (Field Programmable Gate Array)

Mfr AMD Xilinx
Letoto Spartan®-7
Sephutheloana Terei
Sephutheloana se Tloaelehileng 1
Boemo ba Sehlahiswa E sebetsa
Palo ea li-LAB/CLBs 469
Palo ea Lintlha tsa Logic / Lisele 6000
Kakaretso ea RAM Bits 184320
Nomoro ea I/O 100
Voltage - Phepelo 0.92V ~ 0.98V
Mofuta oa ho Beha Thaba e kaholimo
Mocheso oa ho sebetsa -40°C ~ 100°C (TJ)
Sephutheloana / Taba 225-LFBGA, CSPBGA
Sephutheloana sa Sesebelisoa sa Bafani 225-CSPBGA (13×13)
Nomoro ea Sehlahisoa sa Motheo XC7S6

Ho kopanya theknoloji ho ntse ho hloka nako

Batho ba bang ba indasteri ba llela taba ea hore ka mor'a ho fumana, lebitso "Xilinx", e leng senatla se senyenyane sebakeng sa eona, se ka nkeloa sebaka ke "AMD".

Ho latela phatlalatso, kamora ho fumana, Victor Peng, CEO oa mehleng oa Xilinx, e tla ba mopresidente oa sehlopha se sa tsoa thehoa sa Adaptive and Embedded Computing Group (AECG), se tla lula se tsepamisitse maikutlo ho khanna FPGA, SoC e feto-fetohang le 'mapa oa software.

Ka letsatsi lona leo, AMD e boetse e phatlalalitse likhetho tse ncha tsa boto.Zifeng Su o kentse boemo ba Molula-setulo oa Boto maemong a hae a pele a Mopresidente le CEO;Jon Olson, eo e kileng ea e-ba motsamaisi oa Xilinx, le Elizabeth Vanderslice ba tla kenela Boto ea AMD, eo e kileng ea e-ba CFO ea Xilinx 'me ea morao e nang le phihlelo ea ho tsetela le ho fumana thepa.

Leha chelete e bonahala e le kholo, ho na le mohlala oa ho nkuoa hona ke AMD.

Ka 2015, mohanyetsi oa khale Intel o phatlalalitse ho nkuoa ha Altera, ea bobeli indastering ho FPGAs, a bula mofuta oa nts'etsopele ea CPU + FPGA, athe AMD e ne e le k'hamphani ea pele ea ho fumana karolo ea indasteri ea FPGA joalo ka "ea bobeli ho milione".Kahoo ho bonahala ho hlakile hore ba babeli ba tsoela pele ho loantša boemo.

Ehlile, sephetho sa lebelo la convergence la AMD's CPU+GPU+FPGA ha se tsejoe.

Ntle le moo, le hoja Intel e qetile nako e telele ea ho fumana Altera, ho tloha ka nako eo, melemo ea ketso ena ha ea ka ea bontša kapele phello ea ho atisa tlaleho ea lichelete.

Moqolotsi oa litaba o fumane hore Intel e qetile ho fumana Altera ka 2015, mme chelete e kenang ea khoebo e amanang le eona e qalile ho hlaha tlalehong ea lichelete ea k'hamphani ka 2016 ka khoebo ea PSG (Programmable Solutions Group), eo ka nako eo e neng e ikarabella bakeng sa 3% ea kakaretso ea lekeno.

Tlalehong e sa tsoa lokolloa ea FY2021 Intel ea meputso, lekeno la karolo ea khoebo ea PSG e ne e le $ 1.9 bilione, e nyolohile ka 4% selemo le selemo, athe kakaretso ea chelete ea k'hamphani bakeng sa selemo seo e ne e le $ 79 billion, mme karolo e amanang le eona ha ea ka ea senya 3. % boima.Sena se bonahala se bontša hore lekeno la khoebo le amanang le FPGA ha le fane ka kholiso e kholo ho tlatsetso ea mantlha ea k'hamphani.

Intel selemong sa lichelete sa 2021 menehelo e fapaneng ea ts'ebetso ea likarolo tsa khoebo, karolo ea PSG e tlase

Ntlheng ena, bahlahlobisisi ba ile ba bolella baqolotsi ba litaba hore "lithibelo tsa theknoloji ea FPGA li holimo, 'me ho kopanngoa ha mekhoa ea khalemelo le ho reka ho hloka nako e telele ea tšilo ea lijo mahlakoreng ka bobeli mme ho hloka tšebelisano e haufi le ntlafatso e ntseng e eketseha ea tikoloho, liteishene tsa balekane le bareki."

Leha ho le joalo, ho latela Su Zifeng, ka 2023, indasteri e tla bona li-processor tsa pele tsa AMD tse nang le Celeris AI IP.

Indasteri e lumela hore lilemo tse mashome tse fetileng tsa ntoa pakeng tsa Intel le AMD le tsona li lebisitse ho potlakang le katleho 'marakeng oa processor oa CPU, ha li ntse li tsamaisa kholo e potlakileng ea mmaraka oa PC le barekisi ba amanang le bona, ho lumella li-PC ho kena. mmaraka oa bareki ka litheko tse tlase.

Nakong ea Molao oa Moore, Intel e kentse CEO e mocha ho eketsa matsete a moralo oa khoebo oa IDM, ha a ntse a kena ka mafolofolo mohahong oa RISC-V, le lihlopha tse peli tsa khale tse qothisanang lehlokoa le 'maraka oa li-chips tse phahameng ka CPU + FPGA , tlholisano e matla le eona e tla tsoela pele ho fihla libakeng tse ling.


  • E fetileng:
  • E 'ngoe:

  • Ngola molaetsa wa hao mona mme o re romele wona