taelo_bg

lihlahisoa

XCVU9P-2FLGB2104I - Lipotoloho tse Kopantsoeng, tse kentsoeng, tse hlophisitsoeng hantle tsa masimong

tlhaloso e khuts'oane:

Xilinx® Virtex® UltraScale+™ FPGAs e fumaneha ka limaraka tsa lebelo la -3, -2, -1, ka lisebelisoa tsa -3E tse nang le ts'ebetso e phahameng ka ho fetisisa.Lisebelisoa tsa -2LE li ka sebetsa ka motlakase oa VCCINT ho 0.85V kapa 0.72V le ho fana ka matla a tlaase a matla a tsitsitseng.Ha e sebelisoa VCCINT = 0.85V, ho sebelisoa lisebelisoa tsa -2LE, lebelo la lebelo la lisebelisoa tsa L le tšoana le lebelo la lebelo la -2I.Ha e sebelisoa VCCINT = 0.72V, ts'ebetso ea -2LE le matla a tsitsitseng le a matla a fokotsehile.Litšobotsi tsa DC le AC li hlalositsoe ka mekhahlelo e atolositsoeng (E), indasteri (I), le ea sesole (M).Ntle le mofuta oa mocheso o sebetsang kapa ntle le haeba ho boletsoe ka tsela e 'ngoe, likarolo tsohle tsa motlakase tsa DC le AC lia tšoana bakeng sa kereiti e itseng ea lebelo (ke hore, litšoaneleho tsa nako tsa sesebelisoa se atolositsoeng sa "-1 speed grade" li tšoana le tsa -1 speed grade. sesebelisoa sa indasteri).Leha ho le joalo, ke limaraka tse khethiloeng tsa lebelo le/kapa lisebelisoa feela tse fumanehang mofuteng o mong le o mong oa mocheso.Lits'oants'o tsa XQ leqepheng lena la data li bua ka lisebelisoa tse fumanehang ka har'a liphutheloana tsa XQ Ruggedized.Sheba Leqephe la Boitsebiso ba Tšireletso ea Ts'ireletso ea UltraScale: Overview (DS895) bakeng sa boitsebiso bo eketsehileng mabapi le linomoro tsa likarolo tsa XQ Defensegrade, liphutheloana le boitsebiso ba ho laela.


Lintlha tsa Sehlahisoa

Li-tag tsa Sehlahisoa

Litšobotsi tsa Sehlahisoa

MOFUTA TLHALOSO

KHETHA

Sehlopha Lipotoloho tse Kopantsoeng (ICs)

E kenyelelitsoe

FPGAs (Field Programmable Gate Array)

 
Mfr AMD  
Letoto Virtex® UltraScale+™  
Sephutheloana Terei  
Boemo ba Sehlahiswa E sebetsa  
DigiKey Programmable Ha e netefatsoe  
Palo ea li-LAB/CLBs 147780  
Palo ea Lintlha tsa Logic / Lisele 2586150  
Kakaretso ea RAM Bits 391168000  
Nomoro ea I/O 702  
Voltage - Phepelo 0.825V ~ 0.876V  
Mofuta oa ho Beha Thaba e kaholimo  
Mocheso oa ho sebetsa -40°C ~ 100°C (TJ)  
Sephutheloana / Taba 2104-BBGA, FCBGA  
Sephutheloana sa Sesebelisoa sa Bafani 2104-FCBGA (47.5x47.5)  
Nomoro ea Sehlahisoa sa Motheo XCVU9  

Litokomane & Media

MOSEBETSI MOFUTA LINKI
Li-datasheets Lethathamo la lintlha tsa Virtex UltraScale+ FPGA
Boitsebiso ba Tikoloho Setifikeiti sa Xiliinx RoHS

Xilinx REACH211 Cert

Mefuta ea EDA XCVU9P-2FLGB2104I ka Ultra Librarian

Maemo a Tikoloho le Liantle

LEHLOKOA TLHALOSO
Boemo ba RoHS ROHS3 e lumellana
Boemo ba Sensitivity ea Mongobo (MSL) 4 (72 lihora)
ECCN 3A001A7B
HTSUS 8542.39.0001

FPGAs

FPGA (Field Programmable Gate Array) ke nts'etsopele e 'ngoe ea lisebelisoa tse ka khonehang tse kang PAL (Programmable Array Logic) le GAL (General Array Logic).E ile ea hlaha e le potoloho e tloaelehileng tšimong ea Application Specific Integrated Circuits (ASICs), e sebetsanang le mefokolo ea lipotoloho tse tloaelehileng le ho hlōla palo e lekanyelitsoeng ea liheke tsa lisebelisoa tsa pele tse hlophisitsoeng.

Moralo oa FPGA ha se thuto ea lichifi feela, empa haholo ts'ebeliso ea lipaterone tsa FPGA bakeng sa moralo oa lihlahisoa liindastering tse ling.Ho fapana le li-ASIC, li-FPGA li sebelisoa haholo indastering ea likhokahano.Ka tlhahlobo ea 'maraka oa lefats'e oa lihlahisoa tsa FPGA le barekisi ba amanang le bona, ho kopantsoe le boemo ba hajoale ba China mme lihlahisoa tse etellang pele tsa FPGA tsa lapeng li ka fumaneha molemong oa nts'etsopele ea theknoloji e amehang, e na le karolo ea bohlokoa ho nts'etsapele ntlafatso ka kakaretso. ea boemo ba mahlale le theknoloji ea China.

Ho fapana le mofuta oa setso oa moralo oa chip, li-chips tsa FPGA ha li felle feela ho lipatlisiso le li-chips tsa moralo, empa li ka ntlafatsoa bakeng sa lihlahisoa tse fapaneng tse nang le mofuta o itseng oa chip.Ho ea ka pono ea sesebelisoa, FPGA ka boeona e na le potoloho e tloaelehileng e kopantsoeng ka potoloho e tloaelehileng, e nang le li-module tsa tsamaiso ea digital, li-unit tse kenyelelitsoeng, likarolo tsa tlhahiso le likarolo tsa ho kenya.Motheong ona, hoa hlokahala ho tsepamisa maikutlo ho optimization e felletseng ea chip ea FPGA, ho eketsa mesebetsi e mecha ea chip ka ho ntlafatsa moralo oa hajoale oa chip, ka hona ho nolofatsa sebopeho sa chip ka kakaretso le ho ntlafatsa ts'ebetso.

Sebopeho sa motheo:
Lisebelisoa tsa FPGA ke tsa mofuta oa potoloho e tloaelehileng ka har'a lipotoloho tse kopaneng tse nang le sepheo se ikhethileng, e leng li-arrays tse hlophisehileng mme li ka rarolla bothata ba palo e tlase ea liheke tsa lisebelisoa tsa mantlha.Sebopeho sa mantlha sa FPGA se kenyelletsa likarolo tse kenyellelitsoeng le tse hlahisoang, li-block blocks, li-module tsa taolo ea oache ea dijithale, RAM ea block e kentsoeng, lisebelisoa tsa wiring, li-cores tse thata tse kentsoeng, le likarolo tse sebetsang tse kenelletseng ka tlase.Li-FPGA li sebelisoa haholo lefapheng la moralo oa potoloho ea dijithale ka lebaka la lisebelisoa tsa tsona tse ngata tsa marang-rang, mananeo a phetoang le kopanyo e phahameng, le matsete a tlase.Phallo ea moralo oa FPGA e kenyelletsa moralo oa algorithm, papiso ea khoutu le moralo, ho lokisa boto, moqapi le litlhoko tsa nnete tsa ho theha moralo oa algorithm, sebelisa EDA ho theha moralo oa moralo kapa HD ho ngola khoutu ea moralo, etsa bonnete ba hore ka papiso ea khoutu Tharollo ea moralo e kopana. litlhoko tsa sebele, 'me qetellong ho etsoa debugging ea boemo ba boto, ho sebelisoa potoloho ea tlhophiso ho khoasolla lifaele tse amehang ho chip ea FPGA ho netefatsa ts'ebetso ea sebele.


  • E fetileng:
  • E 'ngoe:

  • Ngola molaetsa wa hao mona mme o re romele wona