taelo_bg

lihlahisoa

XC7K420T-2FFG901I - Lipotoloho tse Kopantsoeng, tse kentsoeng, tse hlophisitsoeng hantle tsa masimong

tlhaloso e khuts'oane:

Kintex®-7 FPGAs e fumaneha ka limaraka tsa -3, -2, -1, -1L, le -2L lebelo, ka -3 e nang le ts'ebetso e phahameng ka ho fetisisa.Lisebelisoa tsa -2L li hlahlobjoa bakeng sa matla a tlase a sa fetoheng 'me li ka sebetsa ka li-voltages tse tlase bakeng sa matla a tlase ho feta lisebelisoa tsa -2.Lisebelisoa tsa mocheso tsa -2L tsa indasteri (I) li sebetsa feela VCCINT = 0.95V.Lisebelisoa tsa mocheso oa -2L tse atolositsoeng (E) li ka sebetsa ho VCCINT = 0.9V kapa 1.0V.Lisebelisoa tsa -2LE ha li sebelisoa VCCINT = 1.0V, le lisebelisoa tsa -2LI ha li sebelisoa VCCINT = 0.95V, li na le litlhaloso tsa lebelo tse tšoanang le tsa lebelo la -2, ntle le moo ho boletsoeng.Ha lisebelisoa tsa -2LE li sebelisoa ho VCCINT = 0.9V, litlhaloso tsa lebelo, matla a tsitsitseng, le matla a matla a fokotseha.Lisebelisoa tsa mocheso oa sesole sa -1L (M) li na le lebelo le ts'oanang le lisebelisoa tsa mocheso oa sesole -1 'me li hlahlojoa bakeng sa matla a tlase a tsitsitseng.


Lintlha tsa Sehlahisoa

Li-tag tsa Sehlahisoa

Litšobotsi tsa Sehlahisoa

MOFUTA TS'OANELO
sehlopha Lipotoloho tse Kopantsoeng (ICs)E kenyelelitsoe

Field Programmable Gate Arrays (FPGAs)

moetsi AMD
letoto Kintex®-7
thatela terei
Boemo ba sehlahisoa E sebetsa
DigiKey e ka khonahala Ha e netefatsoe
Nomoro ea LAB/CLB 32575
Palo ea lintlha tsa logic/liyuniti 416960
Kakaretso ea palo ea li-bits tsa RAM 30781440
Nomoro ea I/Os 380
Voltage - Phepelo ea motlakase 0.97V ~ 1.03V
Mofuta oa ho kenya Mofuta oa sekhomaretsi sa bokaholimo
Mocheso oa ho sebetsa -40°C ~ 100°C (TJ)
Sephutheloana/Ntlo 900-BBGA, FCBGA
Morekisi karolo encapsulation 901-FCBGA (31x31)
Nomoro e kholo ea sehlahisoa XC7K420
MOFUTA TS'OANELO
sehlopha Lipotoloho tse Kopantsoeng (ICs)E kenyelelitsoe

Field Programmable Gate Arrays (FPGAs)

moetsi AMD
letoto Kintex®-7
thatela terei
Boemo ba sehlahisoa E sebetsa
DigiKey e ka khonahala Ha e netefatsoe
Nomoro ea LAB/CLB 32575
Palo ea lintlha tsa logic/liyuniti 416960
Kakaretso ea palo ea li-bits tsa RAM 30781440
Nomoro ea I/Os 380
Voltage - Phepelo ea motlakase 0.97V ~ 1.03V
Mofuta oa ho kenya Mofuta oa sekhomaretsi sa bokaholimo
Mocheso oa ho sebetsa -40°C ~ 100°C (TJ)
Sephutheloana/Ntlo 900-BBGA, FCBGA
Morekisi karolo encapsulation 901-FCBGA (31x31)
Nomoro e kholo ea sehlahisoa XC7K420

FPGAs

Melemo
Melemo ea li-FPGA ke tse latelang:
(1) Li-FPGA li na le lisebelisoa tsa hardware tse kang lisele tsa logic, RAM, li-multipliers, joalo-joalo Ka ho hlophisa lisebelisoa tsena tsa hardware ka mokhoa o utloahalang, lipotoloho tsa hardware tse kang li-multiplier, li-register, li-generator tsa aterese, joalo-joalo li ka kenngoa ts'ebetsong.
(2) Li-FPGA li ka etsoa ka ho sebelisa li-block diagrams kapa Verilog HDL, ho tloha lipotolohong tse bonolo tsa heke ho ea ho li-circuits tsa FIR kapa FFT.
(3) Li-FPGA li ka hlophisoa bocha, tsa kenya tharollo e ncha ea moralo ka li-milliseconds tse makholo a seng makae, li sebelisa tlhophiso e ncha ho fokotsa thepa e holimo.
(4) Maqhubu a ts'ebetso ea FPGA a khethoa ke chip ea FPGA hammoho le moralo, 'me e ka fetoloa kapa ea nkeloa sebaka ke chip e potlakileng ho finyella litlhoko tse itseng tse hlokahalang (le hoja, ho hlakile, maqhubu a ts'ebetso ha a na moeli ebile a ka khona. e eketsoe, empa e laoloa ke mekhoa ea hona joale ea IC le lintlha tse ling).
Mefokolo
Mefokolo ea li-FPGA ke tse latelang:
(1) Li-FPGA li itšetleha ka ts'ebetsong ea lisebelisoa bakeng sa mesebetsi eohle 'me li ke ke tsa kenya ts'ebetsong ts'ebetso e kang ho tlola maemo a makala.
(2) Li-FPGA li ka kenya ts'ebetso ea lintlha tse tsitsitseng feela.
Ka kakaretso: Li-FPGA li itšetlehile ka hardware ho kenya ts'ebetsong mesebetsi eohle 'me li ka bapisoa le li-chips tse inehetseng ho latela lebelo, empa ho na le lekhalo le leholo la ho feto-fetoha ha moralo ha le bapisoa le li-processor tse akaretsang.

Rala lipuo le sethala

Lisebelisoa tsa logic tse hlophisitsoeng ke li-hardware tse tsamaisang mesebetsi e hlophisitsoeng le litlhaloso tsa tekheniki tsa lits'ebetso tsa elektroniki ka theknoloji ea EDA.Li-FPGA, joalo ka e 'ngoe ea lisebelisoa tse ka sehloohong tse sebelisang tsela ena, li shebane le basebelisi ka kotloloho, li tenyetseha haholo, li bonolo ho li sebelisa, 'me li potlakile ho lekola le ho kenya ts'ebetsong lisebelisoa tsa Hardware.
Hardware Description Language (HDL) ke puo e sebelisoang ho rala litsamaiso tsa logic tsa dijithale le ho hlalosa li-circuits tsa digital, tse ka sehloohong tse sebelisoang hangata ke VHDL, Verilog HDL, System Verilog le System C.
E le puo ea tlhaloso ea lisebelisoa tse pota-potileng, Puo ea Very High Speed ​​Integrated Circuit Hardware Description Language (VHDL) e na le litšobotsi tsa ho ikemela ho potoloho e khethehileng ea hardware le ho ikemela ho sethaleng sa moralo, ka melemo ea bokhoni ba tlhaloso e pharaletseng, eseng. e itšetlehile ka lisebelisoa tse itseng, le bokhoni ba ho hlalosa moralo oa logic e rarahaneng ea taolo ka khoutu e thata le e khutšoanyane, joalo-joalo E tšehetsoa ke lik'hamphani tse ngata tsa EDA 'me e sebelisitsoe haholo tšimong ea moralo oa elektronike.e sebedisoang haholo.
VHDL ke puo ea boemo bo phahameng bakeng sa moralo oa potoloho, 'me ha e bapisoa le lipuo tse ling tsa tlhaloso ea hardware, e na le litšobotsi tsa puo e bonolo, ho feto-fetoha ha maemo le ho ikemela ho tloha ho moralo oa lisebelisoa, ho etsa hore e be puo e tloaelehileng ea tlhaloso ea hardware bakeng sa theknoloji ea EDA le ho etsa theknoloji ea EDA haholoanyane. e fumaneha ho baqapi.
Verilog HDL ke puo e hlalosang thepa e sebelisoang haholo e ka sebelisoang methating e mengata ea moralo oa lisebelisoa, ho kenyeletsoa ho etsa mohlala, ho kopanya le ho etsisa.
Melemo ea Verilog HDL: e ts'oanang le C, e bonolo ho ithuta ebile e tenyetseha.E latela litlhaku.Melemo ea ho ngola khothatso le mohlala.Mathata: liphoso tse ngata li ke ke tsa bonoa ka nako ea ho bokella.
Melemo ea VHDL: Syntax e thata, bolaoli bo hlakileng.Mefokolo: nako e telele ea ho tloaelana le maemo, ha e fetohe ka ho lekaneng.
Software ea Quartus_II ke tikoloho e felletseng ea meralo e mengata e ntlafalitsoeng ke Altera, e ka fihlelang litlhoko tsa moralo oa li-FPGA le li-CPLD tse fapaneng, 'me ke tikoloho e felletseng bakeng sa moralo oa sistimi ea on-chip programmable.
Vivado Design Suite, tikoloho e kopanetsoeng ea moralo e lokollotsoeng ke FPGA morekisi Xilinx ka 2012. E kenyelletsa tikoloho ea moralo e kopantsoeng haholo le moloko o mocha oa lisebelisoa ho tloha tsamaisong ho ea boemong ba IC, tsohle tse hahiloeng holim'a mokhoa o arolelanoang oa scalable le tikoloho e tloaelehileng ea ho senya.Xilinx Vivado Design Suite e fana ka li-cores tsa FIFO IP tse ka sebelisoang habonolo ho meralo.


  • E fetileng:
  • E 'ngoe:

  • Ngola molaetsa wa hao mona mme o re romele wona