taelo_bg

lihlahisoa

Potoloho e kopaneng ea lisebelisoa tsa elektroniki tse ncha le tsa mantlha 5M240ZT100C5N ic mofani oa thepa sebaka se le seng reka tšebeletso ea BOM

tlhaloso e khuts'oane:


Lintlha tsa Sehlahisoa

Li-tag tsa Sehlahisoa

Litšobotsi tsa Sehlahisoa

MOFUTA TLHALOSO
Sehlopha Lipotoloho tse Kopantsoeng (ICs)

E kenyelelitsoe

Li-CPLDs (Lisebelisoa tse rarahaneng tse hlophisitsoeng tse hlophisitsoeng)

Mfr Intel
Letoto MAX® V
Sephutheloana Terei
Sephutheloana se Tloaelehileng 90
Boemo ba Sehlahiswa E sebetsa
Mofuta o Rerang Ho System Programmable
Nako ea ho lieha tpd(1) Max 7.5 ns
Phepelo ea Voltage - Ka hare 1.71V ~ 1,89V
Palo ea Lintlha / Li-block tsa Logic 240
Palo ea li-Macrocell 192
Nomoro ea I/O 79
Mocheso oa ho sebetsa 0°C ~ 85°C (TJ)
Mofuta oa ho Beha Thaba e kaholimo
Sephutheloana / Taba 100-TQFP
Sephutheloana sa Sesebelisoa sa Bafani 100-TQFP (14×14)
Nomoro ea Sehlahisoa sa Motheo 5M240Z

A. Li-chips tse tloaelehileng tsa FPGA ke life

Hajoale, li-chips tsa FPGA 'marakeng li tsoa haholo Xilinx le Altera, tse nkang karolo e fetang 80% ea karolo ea mmaraka ea FPGA.Lihlahisoa tse ling tsa bahlahisi ba FPGA li etselitsoe haholo lits'ebetso tse itseng, mohlala, Actel haholo-holo e hlahisa li-FPGA tsa li-anti-fuse ho kopana le maemo a boima haholo a ts'ebeliso ea lihlahisoa tsa lifofane le tsa sefofane.Lintlha tse latelang ke lihlahisoa tsa baemeli ba lik'hamphani tse peli, Altera le Xilinx.

Lihlahisoa tse tloaelehileng tsa Altera

Lisebelisoa tsa FPGA tsa Altera li batla li arotsoe ka lihlopha tse tharo: e 'ngoe ke letoto la Leholiotsoana le tlaase-tlaase;ea bobeli ke letoto la lihlooho tse phahameng tsa Stratix, 'me ea boraro ke letoto la Arriva le ka ba ASICized habonolo pakeng tsa tse peli.

1. bakeng sa li-FPGA tsa ts'ebetso e phahameng ea Stratix

Li-FPGA tsa Stratix li ka thusa basebelisi ho theola kotsi le ts'ebetso e phahameng kapele kamoo ho ka khonehang ho qala lihlahisoa tse tsoetseng pele tse sebetsang hantle haholo.Ho kopanya sekhahla se phahameng, ts'ebetso e phahameng, le likarolo tse ruileng, lelapa la Stratix la FPGA le ka kopanya likarolo tse ling le ho eketsa bandwidth ea sistimi.Likarolo tsa lelapa la Stratix la meloko ea lihlahisoa li fetohile 'me li ntse li tsoela pele ho fetoha.Matsatsi a ho qala le mahlale a tšebetso a lelapa la Stratix la FPGA a bonts'itsoe ho Lethathamo la 1-1.

Lethathamo la 1-1 Stratix Series Lethathamo

Mefuta ea Stratix FPGAs le Stratix GX ke mefuta ea pele ho lelapa la Altera's Stratix FPGA.Lelapa lena la li-FPGA tse sebetsang hantle haholo le hlahisa li-module tsa DSP hardcore intellectual property (IP) le memori ea Altera e sebelisoang haholo ea TriMatrix on-chip le meralo e bonolo ea I/O.

Mefuta ea Stratix II FPGA le Stratix II GX e hlahisa meralo ea Adaptive Logic Module (ALM), e nkang sebaka sa 4-input LUT ka tafole ea ts'ebetso e phahameng ea 8-input segmented segmented table (LUT).II GX FPGAs mme li ntse li khothaletsoa haholo bakeng sa meralo e mecha.

Li-FPGA tsa Stratix III ke li-FPGA tse matla ka ho fetisisa indastering, tse sebetsang hantle haholo tse 65nm.e ka nka monyetla ka mofuta oa logic (L), memori, le ntlafatso ea DSP (E) ho kopanya litlhoko tsa mosebelisi oa moralo ntle le ho rala ka lisebelisoa tse kholoanyane ho feta tse hlokehang, kahoo ho boloka liboto, ho khutsufatsa nako ea ho bokella, le ho fokotsa litšenyehelo.Strategic III FPGAs e etselitsoe haholo ts'ebetso ea mantlha ea mantlha bakeng sa lits'ebetso tse ngata.

Li-FPGA tsa Stratix IV li fana ka letsoalo le phahameng ka ho fetesisa, ts'ebetso e ntle ka ho fetisisa, le ts'ebeliso e tlase ea matla ho feta 40nm FPGA efe kapa efe.Lelapa la Stratix IV FPGA le fana ka lisebelisoa tse ntlafalitsoeng (E) le lisebelisoa tse ntlafalitsoeng tse nang le li-transceivers (GX le GT) ho fihlela litlhoko tsa limmaraka tse ngata le lits'ebetso tse joalo ka likhokahano tse se nang mohala le tse tsitsitseng, sesole, phatlalatso, le tse ling, ts'ebetso ena e phahameng ea 40nm FPGA. lelapa le kenyelletsa li-transceivers tsa 11.3 Gbps tse ntle ka ho fetisisa.

Li-FPGA tsa Stratix V li fihlella bandwidth e phahameng ka ho fetisisa le ho kopanya tsamaiso e phahameng ka ho fetisisa ea 28nm FPGA efe kapa efe 'me e fetoha habonolo haholo.Lelapa la lisebelisoa le kenyelletsa mefuta ea 14.1 Gbps (GS le GX) e nang le li-backplane tse lumellanang, ts'ebetso ea chip-to-chip, le chip-to-module, hammoho le mefuta ea transceiver ea 28G (GT) e tšehetsang chip-to-chip le chip-to- Mojule o nang le li-LE tse fetang milione e le 'ngoe le li-module tsa DSP tse 4,096 tse nepahetseng.

Altera Stratix 10 FPGAs e nang le theknoloji ea Intel's 14nm e nang le liheke tse tharo e fana ka ts'ebetso e ntle ka ho fetisisa, bandwidth, le kopanyo ea sistimi ea FPGA efe kapa efe, le tšebeliso e tlase haholo ea matla.lisebelisoa tse 10 tsa maano li na le li-transceivers tsa 56Gbps, lifofane tse ka morao tsa 28Gbps, ts'ebetso ea floating-point digital signal processing (DSP), le ts'ehetso ea ntlafatso ea IEEE 754 The Stratix 10 SoC ke lelapa la pele la boemo bo phahameng la SoC ho theknoloji ea Intel's 14nm triple-gate transistor e nang le e latelang. -Sistimi ea processor ea hard-core e ntlafalitsoeng bakeng sa ts'ebetso e nepahetseng ka watt.


  • E fetileng:
  • E 'ngoe:

  • Ngola molaetsa wa hao mona mme o re romele wona