taelo_bg

lihlahisoa

A3PN060-VQG100I 100-VQFP (14×14) e kopantseng potoloho IC FPGA 71 I/O 100VQFP sebaka se le seng reka

tlhaloso e khuts'oane:


Lintlha tsa Sehlahisoa

Li-tag tsa Sehlahisoa

Litšobotsi tsa Sehlahisoa

MOFUTA TLHALOSO
Sehlopha Lipotoloho tse Kopantsoeng (ICs)  E kenyelelitsoe  FPGAs (Field Programmable Gate Array)
Mfr Theknoloji ea Microchip
Letoto ProASIC3 nano
Sephutheloana Terei
Sephutheloana se Tloaelehileng 90
Boemo ba Sehlahiswa E sebetsa
Kakaretso ea RAM Bits 18432
Nomoro ea I/O 71
Nomoro ea Liheke 60000
Voltage - Phepelo 1.425V ~ 1.575V
Mofuta oa ho Beha Thaba e kaholimo
Mocheso oa ho sebetsa -40°C ~ 100°C (TJ)
Sephutheloana / Taba 100-TQFP
Sephutheloana sa Sesebelisoa sa Bafani 100-VQFP (14×14)
Nomoro ea Sehlahisoa sa Motheo A3PN060

Microsemi

Microsemi Corporation, e ikarabellang ho Irvine, California, ke moqapi ea ka sehloohong, moetsi le morekisi oa li-circuits tsa analog tse sebetsang hantle le tse tsoakiloeng le li-semiconductors tse phahameng tse tšepahalang tse laolang le ho laola kapa ho laola phepelo ea matla, ho sireletsa khahlanong le li-spikes tsa motlakase oa nakoana le ho fetisa. , amohela le ho holisa matshwao.

Lihlahisoa tsa Microsemi li kenyelletsa likarolo tse ikemetseng le litharollo tse kopanetsoeng tsa potoloho tse matlafatsang meralo ea bareki ka ho ntlafatsa ts'ebetso le ts'epo, ho ntlafatsa libeteri, ho fokotsa boholo, le ho sireletsa lipotoloho.lits'ebetso.

Kenyelletso ho FPGAs ho Microsemi

Microsemi o ile a fumana Actel ka 2010, a etsa FPGA ea Microsemi lilemo tse mashome a mararo.Li-FPGA tsa Actel li sebelisitsoe ka katleho mananeong a sebaka se fetang 300 lilemong tse leshome tse fetileng, ho paka hore li-FPGA tsa Actel li tšepahala ntle ho pelaelo.

Lisebelisoa tse khahlanong le fuse e ne e le tsa 'maraka oa sesole' me li sa bulehe 'marakeng oa sechaba, kahoo maikutlo a Actel a ne a lula a sa tsejoe ho fihlela 2002 ha li-FPGA tsa eona tse ncha tse thehiloeng ho Flash li ne li hlahisoa, li senola sephiri sa Actel, se seng se ntse se etsa butle-butle. tsela ea eona e eang 'marakeng oa baahi 'me e tsejoa ke bohle.Moralo oa pele oa meralo ea Flash FPGA e ne e le ProASIC, eo litšobotsi tsa eona tsa chip e le 'ngoe tse lekanang le li-CPLD le tšebeliso e tlase ea matla le litšobotsi tse phahameng tse fetang tsa CPLD li hapile thoriso ea baenjiniere ba nts'etsopele, mme batho ba bangata ba sebelisitse meralo ea Flash ea FPGA ho nkela li-CPLD tsa pele le SRAM FPGAs.

Ha litlhoko tsa sechaba li ntse li tsoela pele ho fetoha, Actel e lula e ntlafatsa theknoloji ea eona ea FPGA, e lula e ntlafatsa le ho ntlafatsa mesebetsi le lisebelisoa tsa ka hare tsa FPGA, 'me ka 2005 Actel e ile ea tsebisa moloko oa boraro oa meralo ea Flash FPGAs - ProASIC3/E.Ho thakholoa ka katleho ha ProASIC3/E ho phatlalalitse leqhubu le lecha la nts'etsopele.Ho thakholoa ka katleho ha ProASIC3/E ho phatlalalitse "ntoa" e ncha lipakeng tsa FPGAs.Lelapa la ProASIC3/E le entsoe ho latela tlhoko e matla ea mmaraka bakeng sa li-FPGA tse felletseng, tse theko e tlase bakeng sa bareki, likoloi le lits'ebetso tse ling tse sa tsotelleng litšenyehelo.Tse latelang ke lihlahisoa tsa Actel.

Fusion: FPGA ea pele ea indasteri e nang le ts'ebetso ea analog, e kopanyang 12-bit AD, Flash Memory, RTC, le likarolo tse ling ho etsa hore SoC e be ea sebele.

IGLOO: FPGA e nang le matla a tlase haholo e nang le Flash *Freeze mode ea ho robala, moo matla a tlase haholo a fihlang ho 5µW mme boemo ba RAM le lirejisete li bolokiloe.

IGLOO2: I/O e ntlafalitsoeng e ipapisitse le IGLOO, e fana ka palo e phahameng haholo ea likou tsa I/O, tšehetso bakeng sa likenyelletso tsa Smitter trigger, hot-plugging, le likarolo tse ling.

ProASIC3L: ha e fane feela ka ts'ebetso e phahameng ea ProASIC3 empa hape le tšebeliso e tlase ea matla.

Nano: tšebeliso e tlase ea matla indastering ea FPGA, e nang le tšebeliso e tlase ea matla a 2µW, e nang le sephutheloana se senyenyane sa 3mm*3mm le litheko tse tlase haholo tsa US$0.46.

Letoto lena kaofela ke karolo ea FPGAs ea meralo ea Actel ea meralo ea Flash, eo likarolo tsa eona tse fapaneng li ka fihlelang litlhoko tsa mebaraka e fapaneng le ho tlisetsa basebelisi mefuta e mengata ea likhetho le litlamorao tse sa lebelloang ho ntlafatsa tlholisano ea lihlahisoa tsa bona.Ha re shebeng likarolo tse khahlisang tsa meralo ea boraro ea boqapi ba Flash ea Actel FPGAs.

Lelapa la FPGA la Polarfire

Microsemi's PolarFire FPGAs ke lisebelisoa tsa FPGA tse sa fetoheng tsa moloko oa bohlano tse nang le theknoloji ea morao-rao e sa fetoheng ea 28nm, boima bo mahareng, le ts'ebeliso e tlase ea matla, meaho e kopaneng ea matla a tlase a FPGA, transceiver ea matla a tlase a 12.7Gbps, PCI Express e hahiloeng ka matla a tlase. Gen2 (EP/RP) hammoho le lisebelisoa tsa boikhethelo tsa ts'ireletso ea data le co-processor e kopantsoeng ea matla a tlase a encryption.Ka lisele tsa logic tse fihlang ho 481K, li-voltages tse sebetsang tsa 1.0V-1.05V, le mocheso o sebetsang oa khoebo (0 ° C - 100 ° C) le indasteri (-40 ° C - 100 ° C), mohala oa sehlahisoa sa FPGA oa Microsemi o pharaletseng, le ho tsebisoa ha PolarFire ho holisa 'maraka oa eona o ka bang teng bakeng sa li-FPGA ho ea ho $2.5 bilione ea lisebelisoa tse mahareng tsa mebaraka.

Hobaneng u sebelisa Microsemi FPGAs

1 Tšireletso e phahameng

Ts'ireletso ea meralo ea Actel Flash FPGAs e bonts'oa ka mekhahlelo e 3 ea ts'ireletso.

Mokhahlelo oa pele ke oa tšireletso ea 'mele, li-transistors tsa meralo ea Actel ea moloko oa boraro oa FPGAs li sirelelitsoe ke likarolo tse 7 tsa tšepe, ho tlosa lera la tšepe ho thata haholo ho fihlela boenjiniere ba morao-rao (ka mekhoa e itseng ea ho tlosa tšepe. lera ho bona boemo bo fetohang ba li-transistors tsa ka hare 'me kahoo li hlahisa moralo);Li-FPGA tsa Flash ha li feto-fetohe, ha ho hlokahale chip ea kantle ea tlhophiso, chip e le 'ngoe, E ka tsamaisoa ntle le ho ts'aba ho ts'oaroa ha phallo ea data nakong ea ts'ebetso ea tlhophiso.

Mokhahlelo oa bobeli ke theknoloji ea encryption ea Flash Lock, eo joalo ka ha lebitso le fana ka maikutlo ke phello ea ho notlela liseleng tsa Flash.Ke algorithm ea 128-bit encryption e thibelang ts'ebetso e sa lumelloeng ho chip ka ho khoasolla senotlolo ho chip bakeng sa encryption, 'me ntle le senotlolo, chip e ke ke ea hlophisoa, ea hlakoloa, ea netefatsoa, ​​joalo-joalo Lera la bobeli ke encryption ea Flash Lock. thekenoloji, e leng 128-bit encryption algorithm e thibelang ts'ebetso e sa lumelloeng ho chip ka ho khoasolla senotlolo ho chip bakeng sa encryption.

Mokhahlelo oa boraro ke thekenoloji e koahelang lifaele tsa lenaneo ka mokhoa o sebelisang mokhoa oa machaba oa AES encryption algorithm, e leng algorithm ea encryption e khomarelang tokomane ea 192 ea US Federal Information Processing Standards (FIPS), e sebelisoang ke mekhatlo ea 'muso oa US ho sireletsa tlhahisoleseding e hlokolosi le ea sechaba.Algorithm e ka ba le linotlolo tse ka bang 3.4 x 1038 128-bit, ha e bapisoa le boholo ba linotlolo tsa 56-boemong ba pejana ba DES, e fanang ka linotlolo tse ka bang 7.2 x 1016.Ka 2000, Setsi sa Naha sa Maemo le Theknoloji (NIST) se ile sa amohela maemo a AES ho nkela maemo a 1977 DES sebaka, ho ntlafatsa haholo ts'epahalo ea encryption.NIST e bonts'a ts'ireletso ea theory e fanoeng ke AES ka ho bonts'a hore haeba sistimi ea komporo e ka senya senotlolo sa 56-bit DES motsotsoana o le mong, ho ka nka lilemo tse ka bang 149 trillion ho senya senotlolo sa 128-bit AES, ha bokahohle bo ngotsoe e le bona. lilemo tse ka tlase ho limilione tse likete tse 20, kahoo u ka inahanela hore na tšireletso e tšepahala hakae.

Actel Flash FPGAs, e ipapisitseng le ts'ireletso e meraro e kaholimo, e lumella IP ea bohlokoa ea mosebelisi hore e sireletsoe hantle hape e etse hore ISP e hole e khonehe, e tla fana ka ts'ireletso e tšepahalang ka ho fetesisa bakeng sa meralo e hlophisehileng ea logic.

2 Ho tšepahala ho phahameng

Mefuta e 'meli ea liphoso e ke ke ea qojoa ho li-transistors tse thehiloeng ho SRAM: Phoso e Bonolo le Phoso e tiileng, e bakoang ke likaroloana tse nang le matla a phahameng (li-neutron, likaroloana) sepakapakeng tse hlaselang li-transistors tsa SRAM, tseo, ka lebaka la matla a tsona a mangata, li ka fetohang. boemo ba transistor nakong ya thulano le ntho e itseng.

Ho thoeng ke phoso e bonolo haholo bakeng sa memori ea SRAM, mohlala, SRAM, DRAM, joalo-joalo Ha karoloana e matla e otlang memori ea data ea SRAM, boemo ba data bo tla fetoloa, ho tloha ho 0 ho ea ho 1 kapa 1 ho isa ho 0, phoso ea nakoana ea data, e tla nyamela ha data e ngoloa bocha.Tsena ke liphoso tse ka khutlisoang, 'me li ka fokotsoa ke potoloho ea FPGA ea ho lemoha le ho lokisa liphoso (EDAC).

Phoso ea firmware ke ha SRAM FPGA configuration cell or cabling structure e hlaseloa ke likaroloana tse matla sepakapakeng, e leng se bakang phetoho mosebetsing oa logic kapa phoso ea wiring e tla fella ka ho hlōleha ho feletseng ha tsamaiso 'me e tla tsoelapele ho fihlela e hlahlojoa le ho lokisoa.

Meaho ea Actel Flash ha e na liphoso tsa firmware ka lebaka la theknoloji ea eona e ikhethang ea Flash, e hlokang motlakase o phahameng ho fetola boemo ba transistor ts'ebetsong ea Flash, tlhokahalo e ke keng ea finyelloa ke likaroloana tse tloaelehileng tse matla, kahoo tšokelo e batla e se. - teng.

3 Tšebeliso e tlase ea matla

Ka kakaretso ho na le mefuta e mene ea tšebeliso ea matla ho FPGAs: matla-up power, matla a tlhophiso, matla a tsitsitseng, le matla a matla.Ka kakaretso, li-FPGA li na le mefuta e mene ea tšebeliso ea matla, athe Actel Flash FPGAs e na le matla a tsitsitseng feela le matla a matla, ha ho na matla a ho eketsa matla kapa matla a tlhophiso, kaha matla a holimo ha a hloke ho qala hona joale, le ho theola matla. ha e fetohe 'me ha e hloke ts'ebetso ea tlhophiso.

Li-FPGA tse thehiloeng ho Flash li entsoe ka li-transistors tse peli ka switch e ka fetolehang, ha li-FPGA tse thehiloeng ho SRAM li entsoe ka li-transistors tse tšeletseng ka switch e ka fetolehang, kahoo ho latela tlhahlobo ea tšebeliso ea matla a switch, Flash FPGAs e sebelisa matla a fokolang haholo ho feta SRAM FPGAs.

Letoto la Fusion le tšehetsa mokhoa o fokolang oa tšebeliso ea matla moo chip ka boeona e ka fanang ka motlakase oa 1.5 V bakeng sa mantlha 'me e ka theoleloa fatše le ho tsosoa ka hare ho RTC le logic ea FPGA ho finyella matla a tlaase a matla;Letoto la li-FPGA tsa Actel IGLOO le IGLOO+ li etselitsoe lits'ebetso tse ts'oaroang ka letsoho ka mokhoa oa eona o ikhethang oa Flash * Freeze o ka fokotsa tšebeliso ea matla e sa fetoheng ho fihla tlase ho 5uW le ho boloka data ho RAM.

Actel Flash FPGAs e tla sebelisa matla a fokolang haholo ho feta tlholisano, ka bobeli le ka matla, 'me e ka sebelisoa lits'ebetsong tse sa tsotelleng matla le tse hlokang tšebeliso e tlase ea matla, mohlala, li-PDA, li-consoles tsa lipapali, jj.

 


  • E fetileng:
  • E 'ngoe:

  • Ngola molaetsa wa hao mona mme o re romele wona