taelo_bg

lihlahisoa

5CEFA5F23I7N Cyclone® VE Field Programmable Gate Array (FPGA) IC 240 5001216 77000 484-BGA

tlhaloso e khuts'oane:

Lisebelisoa tsa Cyclone® V li etselitsoe hore ka nako e le 'ngoe li lumellane le ho fokotseha ha tšebeliso ea matla, litšenyehelo, le litlhoko tsa nako ho ea' marakeng;le litlhoko tse ntseng li eketseha tsa bandwidth bakeng sa lits'ebetso tse phahameng haholo le tse sa tsotelleng litšenyehelo.E matlafalitsoe ka li-transceivers tse kopantsoeng le li-controller tse thata tsa memori, lisebelisoa tsa Leholiotsoana V li loketse ho sebelisoa limmarakeng tsa indasteri, li-wireless le wireline, sesole le likoloi.

Lintlha tsa Sehlahisoa

Li-tag tsa Sehlahisoa

Litšobotsi tsa Sehlahisoa

MOFUTA TS'OANELO
sehlopha Field Programmable Gate Arrays (FPGAs)
moetsi Intel
letoto Cyclone® VE
thatela terei
Boemo ba sehlahisoa E sebetsa
DigiKey e ka khonahala Ha e netefatsoe
Nomoro ea LAB/CLB 29080
Palo ea lintlha tsa logic/liyuniti 77000
Kakaretso ea palo ea li-bits tsa RAM 5001216
I/O 數 240
Voltage - Phepelo ea motlakase 1.07V~1.13V
Mofuta oa ho kenya Mofuta oa sekhomaretsi sa bokaholimo
Mocheso oa ho sebetsa -40°C ~ 100°C(TJ)
Sephutheloana/Ntlo 484-BGA
Morekisi karolo encapsulation 484-FBGA (23x23)
Nomoro e kholo ea sehlahisoa 5CEFA5

Kenyelletso ea Sehlahisoa

Lisebelisoa tsa Cyclone® V li etselitsoe hore ka nako e le 'ngoe li lumellane le ho fokotseha ha tšebeliso ea matla, litšenyehelo, le litlhoko tsa nako ho ea' marakeng;le litlhoko tse ntseng li eketseha tsa bandwidth bakeng sa lits'ebetso tse phahameng haholo le tse sa tsotelleng litšenyehelo.E matlafalitsoe ka li-transceivers tse kopantsoeng le li-controller tse thata tsa memori, lisebelisoa tsa Leholiotsoana V li loketse ho sebelisoa limmarakeng tsa indasteri, li-wireless le wireline, sesole le likoloi.

Likarolo tsa Sehlahisoa

Thekenoloji

  • Theknoloji ea ts'ebetso ea TSMC ea 28-nm low-power (28LP).
  • 1.1 V motlakase oa mantlha
Sephutheloana
  • Liphutheloana tsa Wirebond tse tlase tsa halogen
  • Lisebelisuoa tse ngata tse teteaneng tse nang le mehato e tsamaisanang ea liphutheloana bakeng sa ho falla ka mokhoa o se nang moeli pakeng tsa mefuta e fapaneng ea lisebelisoa
  • Likhetho tse lumellanang le RoHS le tse etelletsoeng pele
Lesela la FPGA le sebetsang hantle
  • E ntlafalitse 8-input ALM ka lirejisetara tse 'ne
Mehopolo ea ka hare
  • Memori ea M10K—10-kilobits (Kb) e nang le khoutu e bonolo ea ho lokisa liphoso (ECC)
  • Memory logic array block (MLAB)—640-bit e phatlalalitsoeng LUTRAM moo o ka sebelisang ho fihla ho 25% ea li-ALM joalo ka mohopolo oa MLAB
Li-block tsa IP tse kentsoeng
 
  • Tšehetso ea matsoalloa bakeng sa maemo a nepahetseng a ts'ebetso ea matšoao a mararo (tse tharo 9 x 9, tse peli 18 x 18, kapa 27 x 27 e ngatafatsang) sebakeng se tšoanang sa DSP se nepahetseng.
  • 64-bit accumulator le cascade
  • Memori e kentsoeng ea coefficient e ka hare
  • Preadder/subtractor bakeng sa tshebetso e ntlafetseng
  • DDR3, DDR2, le LPDDR2 ka tšehetso ea 16 le 32 bit ECC
  • PCI Express* (PCIe*) Gen2 le Gen1 (x1, x2, kapa x4) IP e thata e nang le ts'ehetso ea mesebetsi e mengata, ntlha ea ho qetela, le boema-kepe ba metso.
Tlhophiso
  • Tšireletso ea amper - ts'ireletso e felletseng ea moralo ho sireletsa matsete a hau a bohlokoa a IP
  • Likarolo tsa ts'ireletso tsa moralo tse ntlafalitsoeng tsa encryption standard (AES).
  • CvP
  • Phetoho e matla ea FPGA
  • Active serial (AS) x1 le x4, passive serial (PS), JTAG, le likhetho tsa tlhophiso tsa passive passive (FPP) x8 le x16
  • Ho hlatsoa ka hare (2)
  • Phetoho e sa fellang (3)

  • E fetileng:
  • E 'ngoe:

  • Ngola molaetsa wa hao mona mme o re romele wona